Welcome![Sign In][Sign Up]
Location:
Search - verilog p

Search list

[source in ebookVerilog HDL教程

Description:

Verilog HDL教程,一本学习Verilog HDL的好书。


Platform: | Size: 4168249 | Author: xuzhenhuaxu | Hits:

[Program docVerilog HDL的基础教程

Description:

Verilog HDL的基础教程PDF资料


Platform: | Size: 290258 | Author: yuhengzhou | Hits:

[VHDL-FPGA-Verilog基于verilog的LCD1602驱动

Description:

基于verilog的LCD1602驱动


Platform: | Size: 359549 | Author: bradley | Hits:

[BooksVerilog_HDL_Hardware_Description_Language

Description: 正式出版物《Verilog HDL 硬件描述语言》一书的精美 PDF 电子版。-official publications "Verilog HDL Hardware Description Language," a book of exquisite electronic PDF version.
Platform: | Size: 4767744 | Author: bigheadmonk | Hits:

[VHDL-FPGA-Verilogverilog_ppt

Description: 华为内部的verilog教材的ppt版本。比较详细。-Huawei internal verilog materials ppt version. More detailed.
Platform: | Size: 262144 | Author: rain6537 | Hits:

[VHDL-FPGA-Verilogpci

Description: pci接口的verilog原代码,定义了pci接口所需要的全部引脚-pci interface Verilog source code, the definition of a pci interface pins required by all
Platform: | Size: 4096 | Author: david | Hits:

[OtherBeiJingDaXue_verilog_PPT

Description: 数字集成电路设计入门 --从HDL到版图 于敦山 北大微电子学系 Verilog完整课件,是学习verilog HDL的很好的参考资料。 -Introduction to digital integrated circuit design- from the territory in HDL mts Microelectronics Department of Beijing University Verilog complete courseware, learning verilog HDL is a good reference.
Platform: | Size: 628736 | Author: hulin | Hits:

[Otherverilog_ppt

Description: 北航夏宇闻verilog讲稿ppt,包括语法入门、语法进阶及多种实例,Verilog仿真工具-Xia Wen BUAA Verilog script ppt, including the entry syntax, grammar and a variety of advanced examples, Verilog simulation tool
Platform: | Size: 514048 | Author: zx | Hits:

[VHDL-FPGA-VerilogPLL

Description: verilog PLL的代码,和PLL 的功能介绍,希望能通过,只是简单了点-verilog PLL code, and the function of PLL, the hope, but simply a point
Platform: | Size: 18432 | Author: gjj | Hits:

[VHDL-FPGA-Verilogpci_t

Description: verilog开发的PCI target模块,能完成配置空间的读写以及单次的memory读写,原创。-Verilog development of PCI target module, to complete the reading and writing, as well as the configuration space of a single memory read and write, originality. Ha ha
Platform: | Size: 10240 | Author: 齐培红 | Hits:

[OtherVerilog

Description: verilog的简要教程 基本逻辑门,例如a n d、o r和n a n d等都内置在语言中。 • 用户定义原语( U D P)创建的灵活性。用户定义的原语既可以是组合逻辑原语,也可以 是时序逻辑原语。 • 开关级基本结构模型,例如p m o s 和n m o s等也被内置在语言中。-Verilog tutorial briefly the basic logic gates, such as and, or and NAND are built in the language. • user-defined primitives (UDP) to create flexibility. User-defined primitives are the combinational logic can be the original language may also be a temporal logic primitives. • The basic structure of switch-level models, such as PMOS and NMOS are also being built in the language.
Platform: | Size: 4169728 | Author: 阿春 | Hits:

[VHDL-FPGA-VerilogSPI

Description: Verilog SPI 源码(来自网络)-Verilog SPI
Platform: | Size: 49152 | Author: lanbow | Hits:

[VHDL-FPGA-Verilogverilog_pli

Description: pli函数在verilog中大量应用,但介绍pli的资料并不多,压缩包中的文档是我搜集的pli的资料,希望有对你有帮助。-Pli system fuction is used in verilog language, but material related pli in domestic is rare. the rar package is my collection on pli , hop it is useful.:)
Platform: | Size: 2228224 | Author: jhv | Hits:

[VHDL-FPGA-Verilogpll

Description: 用VERILOG语言实现的数字锁相环P-VERILOG language with the digital phase-locked loop PLL
Platform: | Size: 384000 | Author: 叶少朋 | Hits:

[Embeded LinuxMTDB_VGA_TV

Description: Verilog语言,NTSC格式,pal格式(稍作修改)的模拟信号转换成数字信号,在VGA显示器上显示-Verilog ,pal , NTSC , VGA
Platform: | Size: 125952 | Author: liulaicheng | Hits:

[VHDL-FPGA-VerilogVerilog-HDL

Description: 《北航常晓明Verilog应用》一书的pdf完整版,是学习Verilog的好书-" Beihang Chang Xiaoming Verilog Applications" pdf full version of the book is a good book to learn Verilog
Platform: | Size: 15432704 | Author: 甘福连 | Hits:

[VHDL-FPGA-Verilogverilog

Description: 北航夏宇闻verilog讲稿ppt语法入门-Wen Yu Xia Beihang verilog script syntax entry ppt
Platform: | Size: 51200 | Author: 王军 | Hits:

[VHDL-FPGA-Verilogverilog

Description: 一个很好的关于verilog的PPT 第1章 EDA设计与Verilog HDL语言概述 第2章 Verilog HDL基础与开发平台操作指南 第3章 Verilog HDL程序结构 第4章 VERILOG HDL语言基本要素 第5章 面向综合的行为描述语句 第6章 面向验证和仿真的行为描述语句 第7章 系统任务和编译预处理语句 第8章 VERILOG HDL可综合设计的难点解析 第9章 高级逻辑设计思想与代码风格 第10章 可综合状态机开发实例 第11章 常用逻辑的VERILOG HDL实现 第12章 XILINX硬核模块的VERILOG HDL调用 第13章 串口接口的VERILOG HDL设计-A good verilog of PPT on Chapter 1 of EDA Design and Verilog HDL language outlined in Chapter 2 based on Verilog HDL and development platform Operations Guide Chapter 3 Verilog HDL program structure VERILOG HDL languages Chapter 4 Chapter 5 for the basic elements of an integrated behavioral description statement in Chapter 6 for the verification and simulation of the behavior of the system described in Chapter 7 mission statements and prepared statements compiled in Chapter 8 VERILOG HDL design can be integrated Difficulties in Chapter 9, advanced logic design and coding style Chapter 10 Comprehensive state machine instance can be developed in Chapter 11 to achieve common logic VERILOG HDL Chapter 12 XILINX hard core module VERILOG HDL called Chapter 13 Serial Interface VERILOG HDL design
Platform: | Size: 27825152 | Author: lyy | Hits:

[VHDL-FPGA-Verilogpli_handbook_examples_pc

Description: The Verilog PLI Handbook(contained code)
Platform: | Size: 354304 | Author: park wan soon | Hits:

[VHDL-FPGA-VerilogVerilog-HDL_PPT

Description: 《数字系统设计与Verilog HDL(第4版)》课件-" Digital System Design and Verilog HDL (4th Edition)" Courseware
Platform: | Size: 5429248 | Author: 薛正亮 | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net